Binaire taal wordt gebruikt in het programmeren van computers om software of code applicaties te maken voor Windows Visual Basic en het Windows-besturingssysteem. Binaire vectoren kunnen meerdere waarden dat kan verwarrend zijn en leiden tot verschillende codering vensters op uw computer. Als u wilt uw binaire vectoren om te zetten in een hete ingang set, kunt u dit doen met een code door de terminal of command prompt van uw computer. Eenmaal geïmplementeerd, zult u in staat om uw binaire vector mux omzetten in een warme lijn.
instructies
1 Open je terminal of command prompt via de "Programma's" lijst op uw "Start" knop.
2 Type:
library IEEE;
Gebruik ieee.std_logic_1164.all;
Gebruik ieee.numeric_std.all;
entiteit onehot_binary is
poort (onehot_in: in std_logic_vector;
binary_out : out std_logic_vector );
beginnen
assert 2**binary_out'length = onehot_in'length severity failure;
einde;
architectuur rtl van onehot_binary is
functie one_hot_to_binary (
One_Hot : std_logic_vector ;
size : natural
) Return std_logic_vector is
variable Bin_Vec_Var : std_logic_vector(size-1 downto 0);
beginnen
Bin_Vec_Var := (others => '0');
want ik in One_Hot'range lus
Als One_Hot (I) = '1' dan
Bin_Vec_Var: = Bin_Vec_Var of std_logic_vector (to_unsigned (I, grootte));
stop als;
einde loop;
terug Bin_Vec_Var;
End Function;
beginnen
binary_out <= one_hot_to_binary (onehot_in, binary_out'length);
einde;
3 Druk op de "Enter" knop om de binaire muxes op uw systeem te vervangen door een hot lead-ingang.
Hints
- De std_logic_1164.all; code lijn vervangt de binaire bestanden op uw computer naar één.